A Survey of Value Prediction Techniques for Leveraging Value Locality

Mittal, Sparsh (2017) A Survey of Value Prediction Techniques for Leveraging Value Locality. Concurrency and Computation: Practice and Experience, 29 (21). e4250. ISSN 1532-0626

[img] Text
2017_CPE_Mittal_SurveyVP.pdf - Accepted Version
Restricted to Registered users only until 25 July 2019.

Download (1MB) | Request a copy

Abstract

Value locality (VL) refers to recurrence of values in a memory structure and value prediction (VP) refers to predicting VL and leveraging it for diverse optimizations. VP holds the promise of exceeding truedata dependencies and provide performance and bandwidth advantages in both single- and multi-threaded applications. Fully exploiting the potential of VL, however, requires addressing several challenges, such as achieving high accuracy and coverage, reducing hardware and latency overheads, etc. In this paper, we present a survey of techniques for leveraging value locality. We categorize the research works based on key parameters to provide insights and highlight similarities and differences. This paper is expected to be useful for researchers, processor architects and chip-designers.

[error in script]
IITH Creators:
IITH CreatorsORCiD
Mittal, Sparshhttp://orcid.org/0000-0002-2908-993X
Item Type: Article
Uncontrolled Keywords: Review; classification; value locality; value prediction; speculation; parallelization
Subjects: Computer science
Computer science > Computer programming, programs, data
Divisions: Department of Computer Science & Engineering
Depositing User: Team Library
Date Deposited: 26 Jul 2017 06:05
Last Modified: 24 Oct 2017 09:53
URI: http://raiith.iith.ac.in/id/eprint/3443
Publisher URL: https://doi.org/10.1002/cpe.4250
Related URLs:

Actions (login required)

View Item View Item
Statistics for RAIITH ePrint 3443 Statistics for this ePrint Item