Addressing Read-disturbance Issue in STT-RAM by Data Compression and Selective Duplication

Mittal, Sparsh and Vetter, J S and Jiang, L (2016) Addressing Read-disturbance Issue in STT-RAM by Data Compression and Selective Duplication. IEEE Computer Architecture Letters. pp. 1-4. ISSN 1556-6056 (In Press)

Full text not available from this repository. (Request a copy)

Abstract

In deep sub-micron region, STT-RAM (spin transfer torque RAM) shows read-disturbance error (RDE) which presents a crucial reliability challenge. We present SHIELD, a technique to mitigate RDE in STT-RAM LLCs (last level caches). SHIELD uses data compression to reduce cache-write traffic and restore requirement. Also, SHIELD keeps two copies of data blocks compressed to less than half the block size and since several LLC blocks are only accessed once, this approach avoids several restore operations. SHIELD consumes smaller energy than two previous RDE-mitigation techniques, namely high-current restore required read (HCRR, also called restore-after-read) and low-current long latency read (LCLL) and even an ideal RDE-free STT-RAM cache.

[error in script]
IITH Creators:
IITH CreatorsORCiD
Mittal, Sparshhttp://orcid.org/0000-0002-2908-993X
Item Type: Article
Uncontrolled Keywords: Encoding, Random access memory, Data compression, Optimization, Magnetic tunneling, Error analysis, Error correction codes
Subjects: Computer science > Computer programming, programs, data
Computer science > Big Data Analytics
Divisions: Department of Computer Science & Engineering
Depositing User: Team Library
Date Deposited: 10 Jan 2017 04:53
Last Modified: 17 Oct 2017 09:58
URI: http://raiith.iith.ac.in/id/eprint/2980
Publisher URL: https://doi.org/10.1109/LCA.2016.2645207
Related URLs:

Actions (login required)

View Item View Item
Statistics for RAIITH ePrint 2980 Statistics for this ePrint Item